Как стать автором
Обновить
8
0
Александр Чернигин @covsh

Embedded. Verilog, VHDL, C++

Отправить сообщение

Работаем с USB стеком nRF24LU1+. Часть 2

Время на прочтение8 мин
Количество просмотров7.7K
Продолжение, первая часть здесь.
Упрощенная структура USB. Видно что есть всего два прерывания USBIRQ и USBWU

Читать дальше →
Всего голосов 7: ↑7 и ↓0+7
Комментарии1

Работаем с USB стеком nRF24LU1+. Часть 1

Время на прочтение2 мин
Количество просмотров12K
Данная статья описывает работу у USB стеком модуля nRF24LU1+. В первой части небольшое введение, установка софта и SDK, Hello World.
Во второй части рассмотрим инициализацию, энумерацию, поддержку WinUSB и обмен данных с хостом. Предполагается что читатель имеет первоначальное понятие о USB. Если нет, то советую ознакомится с отличным мануалом.

Читать дальше →
Всего голосов 7: ↑7 и ↓0+7
Комментарии0

Нововведения языка SystemVerilog

Время на прочтение4 мин
Количество просмотров31K
image

В данной статье показаны некоторые нововведения языка SystemVerilog в области организации данных по сравнению с Verilog-2001 для синтезируемого подмножества языка.

История SystemVerilog довольна длина и туманна. Начавшись после принятия стандарта Verilog-95, она вылелась в Verilog-2001. Однако язык не слишком подходил для верификации, инженеры использовании языки семейства «E» — VERA, Testbuider. В современном виде SystemVerilog появился после 2005 года. Сейчас средства синтеза и моделирование такие как Quartus II, ISE, ModelSim/QuestaSim уверенно поддерживают SystemVerilog. Стандарт называется IEEE 1800-2012.

Прим. Эта статья давно написана, но не опубликована. Надеюсь будет полезна начинающим.
Читать дальше →
Всего голосов 21: ↑20 и ↓1+19
Комментарии9

Информация

В рейтинге
Не участвует
Откуда
Воронеж, Воронежская обл., Россия
Дата рождения
Зарегистрирован
Активность