Как стать автором
Обновить

Комментарии 33

А возможно добавить автоматическое создание выхода EnO при написании программы на ST. На FBD там понятно проблем не возникает, но иногда у меня лично возникает путаница при ругани отладчика на проблему…
Мы не являемся разработчиками MasterScada и глубокую техническую поддержку предоставляет компания Инсат.
Насколько мне известно такой выход создается автоматически

Версия среды разработки: 1.2.7.14765(MPLCD_1_2_20191220.1)
Болид уже давно запилил свой чудо-контроллер «М3000-ИНСАТ», куда включил уже лицензию на данное ПО. Конечно набор интерфейсов у вас с ними немного разный, но там фактически занедорого можно сделать автоматизированный узел — не надо морщить лоб и покупать отдельно ПО :)
Не большая разница в цене, а по отличиям:
— М3000 пластиковый корпус (AntexGate металл)
— М3000 нет слота mpci (AntexGate есть — можно воткнуть модули WiFi и 3G и не ставить отдельный модем для телеметрии)
— М3000 производительность CPU 1 ядро 1МГц и RAM 512M (AntexGate 4 ядра 1.2 МГц и RAM 1000M)

Про «покупать отдельное ПО» вопрос не понятен. М3000 содержит в себе RunTime MS4D и AntexGate содержит в себе RunTime MS4D.

Да. Наш м3000-Т просто другой.
Количество ядер AntexGate впечатляет, у нас медленнее.
В usb болида можно и WiFi и 3g вставить, но это совсем Далеко не тоже самое чтo mpci. Хоть и есть технически
Цена, конечно интересна. На AntexGate
Лицензии разные. Видимо совсем разные.
Сдается мне такая скорость у Вашего прибора позволит делать Очёты быстро и не напряжно. Не сказал бы что у м3000 с этим проблемы, но на пустом проекте — Думаю на большом архиве будут скрипы.
По урокам и видео- на Ютубе у меня персональный канал, по названию контроллера можно найти. Плейлист "Masterscada4d с нуля" достаточно заполнен, в том числе отвязан от контроллера. Начал уже продвинутый плейлист.

… Увидев протоколы заметил, что на Болидовских контроллерах нет OPC_DA и HDA ни клиента, ни(само собой) сервера. Попытка запустить их на 1.2.5.8882 не увенчалась успехом.
Интересной новостью стал долгожданный MQTT протокол, которого обычно не хватало для мониторинга удаленных объектов в SCADA системах.
MQTT по факту не работает (последняя протестированная версия 1.2.7.13214(MPLCD_1_2_20191020.2)), не реализованы особенности протокола MQTT (QoS, retain и т.п.).
Попробуйте версию: 1.2.7.14765(MPLCD_1_2_20191220.1)
Есть внедрения с MQTT? Кто вообще эти клиенты?
Вроде бы все красиво, но кроме умного дома и домашней автоматизации, я пользователей решения с MQTT не знаю.
Много ли решение на MQTT потребляет трафика?
MQTT для удаленного мониторинга самое то, например сильно экономится трафик если устройство много находится в простое, сообщения можно отправлять со стороны устройства когда это необходимо. MQTT только приходит в нашу страну, его активнее всего используют в ЖКХ мониторинге, особенно когда данные необходимо снять только несколько раз в месяц. Я понимаю что по modbus тоже можно один раз запросить в неделю. А если сбой? Об этом узнаешь в момент опроса, когда уже нужны данные, а не сообщение об ошибке, которую через MQTT можно было заранее прислать.
MQTT брокер поднимает у клиента? Mosquito достаточно или требуется дополнительная оболочка для ведения пользователей, разграничения прав и прочего?

Это как хотите.
Вариант 1: только mqtt broker на сервере в интернете без БД только пересылка сообщений клиенту, нужен простенький дешевый сервер, но клиент визуализации с поддержкой mqtt должен быть у заказчика (например: master scada, Rapid SCADA, node-red, и др.)
Вариант 2: поднимаете на сервере не только брокер но и платформу визуализации и БД. Тут нужен сервер мощнее гораздо, но в этом случае вы можете брать аренду в месяц и создать свой сервис.


Второй вариант думаю не очень подходит для консервативной страны. Большенство клиентов считают, что в облаке у них украдут сверхсекретные данные такие как: значение давления, точки росы, электроэнергии, сбои в производстве и т.д. Ну ничего подождём суверенный интернет, в котором не будет хакеров)))

Все зависит от реализации Modbus как и реализации Mqtt.
Я бы сказал что гонка за модным Mqtt не всегда оправданий применению Modbus. Имея ввиду версию 2012 года.

modbus протокол реального времени запрос-ответ пока это локальная сеть вопросов нет, как только трафик ограничен, тут уже сложнее. Mqtt уже старая разработка, просто к нам только пришла .

В этом месте готов подискутировать, при наличии желания:

1) Периодичность запросов в стандарте Modbus не регламентируется,
делать их(запросы) по событиям никто не воспрещает.

2) Если же писать о TCP реализации, то там Достаточно интересны датаграммы ( чтобы понять кто является инициатором опроса — Слэйв или Мастер), их стоит посмотреть на сайте modbus.org и никто не мешает реализовываать его в лучшем, чем у mqtt варианте.

Если честно, переход к mqtt у нас произошёл по причине развертывания облачного сервиса. Я так и не нашёл вариантов на лету добавлять устройства с автоматической регистрацией всех параметров (ключей в формате json по протоколу mqtt или http) на стороне сервера. С modbus я лично так и не договорился)) Протокол modbus все таки хранит только цифру в регистре, а имя этого регистра нет, все таки для веб вещей json как то ближе, возможно для scada систем привычнее modbus. А как клиент в modbus tcp может сам инициировать запрос? Нужно же как минимум какой то флаг один опрашивать, чтобы понять когда запрос сделать глобальный или не так?

Сдаётся мне, кто-то кого-то дурит. Где у них на сайте безплатная среда разработки для 4д?

Тут вроде бы все просто: https://insat.ru/products/?category=1631
Регистрируешься и качаешь полную версию, которая больше всего вестит.

А есть ли ограничения по количеству точек ввода-вывода при использовании контроллера со встроенной средой исполнения?

1000 точек ввода-вывода по умолчанию, обычно этого достаточно, но можно по запросу в Инсат расширять лицензию. Они записывают эту информацию в ключ активации.

Пишу как человек который открыл мастер скаду три дня назад. Вроде как нет теперь у них такого понятия как "тег". Теперь там точки ввода/вывода. Как они считаются так и не понял. Подробного хелпа я там тоже не увидел. Методом "научного тыка" тоже не просто разобраться! МЭК 61850 в скаде пока настроить не смог, хотя из же opc-мэк61850 сервер запустился без каких то либо танцев в бубном!

Запросите более подробную информацию в техподдержке Инсат.
Master SCADA 4D ещё сыровата. Я лет 5 назад делал проекты на 3.5 — багов не встречал, а сейчас коллега делает проект на 4D и перманентно натыкается на баги, пишет в ТП и чуть не месяцами ждет фиксов. Также в настоящее время с документацией на нее не очень хорошо. Но, думаю, через 2-3 года Master SCADA 4D будет вполне юзабельна.
Подскажите с какими багами встречался Ваш друг?
Они на днях вроде бы анонсировали версию: 1.2.8. Думаю если часто обновлять среду разработки, то и багов меньше будет.
Посмотрите список изменений тут: insat.ru/news/?id=117176&sphrase_id=509801
и доскажите другу.
сыровата… сыровата…
Подскажите с какими багами встречался Ваш друг?

достаточно посмотреть форум на сайте овена и сравнить кол-во вопросов а-ля «не работает» для версии 3.x и 4D

Возможго стоит добавить общими словами про межконтроллернуб связь, назначение программ на отдельные контроллеры в сети, резервирование на уровне контроллеров как горячее так и "холодное". И на уровне модулей ввода-вывода. Ну и opc_ua клиент-сервер из коробки. Modbsu клиент/сервер из коробки rtu ,tcp, over_tcp

Для примера мы создали опрос и управление устройством по протоколу Modbus RTU, процесс настройки опроса интуитивно понятный и чем-то похож на настройку привычного OPC-сервера.

в примере скриншотов с нагрузкой в статье использованы 32 тега? по-хорошему бы показать на 1-2 тыс, да и не только модбас (да почаще чем раз в секунду) раз уж казали OPC DA (интересно как вы его на линуксе запустили) и OPC UA + сколько у вас видеокадров в демо проекте? пишутся ли тренды? регистрируются ли алармы?
я запускал на стриме на Болидовском «М3000-Т»
200 объектов с 200-ми окнами и графиками(трендами), 2000 переменных, около 10 000 параметров — при времени цикла раз в секунду.
www.youtube.com/watch?v=m3RS5sOXxzQ

При этом контроллер автора Сильно шустрее. Примерно, если у нас одинаковое «качество» сборки я оцениваю что в два раза у автора поста будет больше переменных либо быстрее время исполнения.
это понятно, но тут точность важна (именно на их железе), тем более что они стенд собирали…
Достаточно пространный вопрос по точности.
То-есть вот я проверял — выдвинув определенные критерии. Модуляцию рандомом большого количества сигналов и малым преобразованиями.
К реальности это имеет отношения настолько, насколько я предположил.
В реальности — по опыту и на сотне интегралов можно повесить контроллер, а можно и работать горя не знать.
т.е. Я бы предположил что более интересно будет попробовать Ваш требуемый проект и подойти к требованием ресурсов под Него. Это и проще, и приближенней к реальности.

Зарегистрируйтесь на Хабре, чтобы оставить комментарий

Публикации