Pull to refresh

Comments 20

я 32-летний системный программист (чистый софтвер), благодаря вашим статьям заинтересовался fpga (и электроникой в целом). и пусть я уже не стану профессиональным digital design разработчиком, но теперь у меня есть интересное хобби, которое я постараюсь передать своему сыну.
А есть примеры конвертации в профессионального digital design разработчика например программиста на Java или embedded? Знаю, что есть курсы повышения квалификации в западных вузах, например: www.ucsc-extension.edu/certificate-program/technology/vlsi-engineering после которых можно идти на entry level позиции в компаниях.
Есть примеры конверсии через промежуточные позиции в верификации, так как в SystemVerilog/UVM навыки в embedded и Java пригодятся. На курсах в UCSC в том числе, хотя это и нетипично.
Из embedded вполне, в момент, когда ваша embedded система начинает требовать чего-то совсем специфического или аппаратной реализации какого-то алгоритма для повышения производительности. Можно, написав алгоритм, требующий реализации, прийти к его конвертации на верилог для прошивки в ПЛИС, можно заниматься верификацией чипа, который разработают по вашему ТЗ, и т.д.
Кроме того, внутри разработки чипов время от времени случаются более радикальные смены специализации, чем переход от системного программирования к верификации.
Из функциональных языков вполне реально.
Думаю, 32 года не такой возраст, когда нельзя прокачать некоторые скиллы.

К тому же Вы из относительно близкой сферы — системного программирования.
Если бы Вы пришли из гуманитарного направления, было бы сложнее.
И всё равно это было бы возможно.

В общём, главное это желание, усердие, и некоторое количество времени по вечерам/выходным.

Удачи!
Спасибо вам за популяризацию ПЛИС. Жаль, что в вашей теме 3 комментария, а в соседних темах про политику около 500. P.S. По ссылке не дают скачать Firefox'ом.
А тех, кто не преподаватель из ВУЗа, но имеет опыт чтения курсов о разработке под FPGA, в ревьюверы берёте? :)
Да, всех кто может пройти и написать детальную критику, мы приглашаем.
UFO just landed and posted this here
А где можно скачать Designing Video Game Hardware in Verilog by Steven Hugg? Вышла еще одна любительская книжка. В общем то ничего нового, но всеже microprocessor_design_using_verilog_hdl Monte Dalrymple. Да и проблемы с кадрами на самом деле нет. Работы нет по профилю.
Спасибо вам за труд!
Как раз читаю сейчас Харрисов. Вопрос: почему в задаче про улитку в автомате Мура 3 состояния, а не 4?
Улитка улыбается при определенной комбинации двух предыдущих ячеек, комбинаций может быть 4…
Потому что это не количество комбинаций вводов, а количество состояний последовательностного конечного автомата. Разберите его работу на диаграмме состояний.
Спасибо, для этого примера у меня просто в голове укладывается в виде состояний либо комбинации вводов, либо состояние улитки «улыбается»\«не улыбается». Постараюсь осознать.

А есть ли на какой либо площадке (edx/coursera/etc) этот материал в виде курса?
Помимо состояния с выходом «улыбается/не улыбается», могут быть еще внутренние состояния «ожидает чего-то». В данном случае состояние S0 — это «пропускаем единицы, ожидаем нуль», состояние S1 — это «пропускаем нули, ожидаем единицу» и S2 — «улыбаемся». Если в состоянии S2 прийдет нуль, то переходим в S1, а если прийдет на выход единица — то в состояние S1:



*** А есть ли на какой либо площадке (edx/coursera/etc) этот материал в виде курса? ***

Вы про Харрисов? Харрисов на прощадке нет. А если про «Как работают создатели умных наночипов», то он по ссылкам:

«От транзистора до микросхемы», «Логическая сторона цифровой схемотехники» и «Физическая сторона цифровой схемотехники»
спасибо, теперь понял логику состояний здесь! несколько дней эта задача мучила меня — в фоне все время крутилась)))

Да, я думал, возможно есть именно у этих преподавателей этот курс в удобном формате на площадках с лекциями и дз. Но я после этой книжки в любом случае посмотрю материалы курса MIT, который вы привели в статье.

Вообще, начал читать эту книгу с целью понять базовые концепции процессорной архитектуры, но в процесс чтения появилось желание подробно разобраться в электронике нижнего уровня. Чем и займусь — to do лист уже набрался)))))
Или купить бумажную книжку от ДМК Пресс на Озоне или в Лабиринте.

Из этих двух магазинов, я предпочел покупать на сайте издательства ДМК Пресс. :)
Sign up to leave a comment.

Articles